当前位置:网站首页>Floating point square root of vivado IP core floating point
Floating point square root of vivado IP core floating point
2022-07-29 06:39:00 【Doze in the wind】
Vivado IP Core floating point number square root Floating-point
Catalog
One 、 Floating point number square root example
Two 、Floating-point IP Core configuration steps
Preface
With the continuous development of manufacturing technology , Field programmable logic gate array (FPGA) More and more integration , More and more applications , Among them, some mathematical processing classes must be used when processing digital signals IP nucleus . Recently, research on Spatial Adaptive Anti-jamming Technology is under way FPGA Hardware implementation , Some of them are inevitably used IP nucleus , Today from Square root of floating point number Let's briefly introduce vivado In the middle of Floating-point This IP Nuclear bar , I hope it can help you in your study .
Tips : The following is the main body of this article , All are original by the author , It's not easy to write an article , I hope you will attach a link to this article when reprinting .
One 、 Floating point number square root example
In order to facilitate the analysis of the results of the later simulation , Here we list Square root of floating point number Example , The following example is directly used for simulation , To verify whether the simulation results are correct .
example: Set floating point number a=32'h3EB851EC, namely a=0.36, be
=32'h3F19999A, namely 
=0.6.
Two 、Floating-point IP Core configuration steps
1. First configuration Operation Selection Interface , Pictured 1 Shown .

2. Next configuration Precision of Inputs Interface , Pictured 2 Shown .

3. Then configure Optimizations Interface , Pictured 3 Shown .

4. Final configuration Interface Options Interface , Pictured 4 Shown .

above 4 After all the interfaces are configured, you can click on the lower right corner OK Button generation prescribing IP nucleus .
3、 ... and 、 Simulation
1. Top level code
Build a top-level module , Name it float_sqrt, Used to instantiate the just generated IP nucleus .
The code is as follows :
`timescale 1ns / 1ps
//
// Company: cq university
// Engineer: clg
// Create Date: 2022/07/28 10:26:26
// Design Name:
// Module Name: float_sqrt
// Project Name:
// Target Devices:
// Tool Versions: 2017.4
// Description:
// Dependencies:
// Revision:1.0
// Revision 0.01 - File Created
// Additional Comments:
//
module float_sqrt(
input clk, // Input clock signal
input a_valid, // Input data valid signal
input [31 : 0] a_data, // input data
output wire re_valid, // Output result valid signal
output wire [31 : 0] re_data // Output the square root result
);
float_sqrt_ip u1_float_sqrt_ip ( // Exemplification IP nucleus
.aclk(clk),
.s_axis_a_tvalid(a_valid),
.s_axis_a_tdata(a_data),
.m_axis_result_tvalid(re_valid),
.m_axis_result_tdata(re_data)
);
endmodule
2. Simulation code
Build a simulation module , Name it float_sqrt_tb, It is used to simulate the instantiation of the top-level module just now IP nucleus .
The code is as follows :
`timescale 1ns / 1ps
//
// Company: cq university
// Engineer: clg
// Create Date: 2022/07/28 10:34:15
// Design Name:
// Module Name: float_sqrt_tb
// Project Name:
// Target Devices:
// Tool Versions: 2017.4
// Description:
// Dependencies:
// Revision:1.0
// Revision 0.01 - File Created
// Additional Comments:
//
module float_sqrt_tb();
reg clk;
reg a_valid;
reg [31:0] a_data;
wire re_valid;
wire [31:0] re_data;
float_sqrt u1_float_sqrt(
.clk(clk),
.a_valid(a_valid),
.a_data(a_data),
.re_valid(re_valid),
.re_data(re_data)
);
always #5 clk=~clk;
initial begin
clk=1'b0;
#15;a_valid=1'b1;
a_data=32'h3EB851EC; //3.6
#280;a_valid=1'b0;
end
endmodule
Four 、 Analysis of simulation results
The simulation results are shown in the figure 5 Shown , Compare the examples of floating-point number square root listed above , It can be seen that this module successfully realizes the square root of floating-point numbers .

summary
This time, I introduce How do you use it? vivado Medium Floating-point IP Verify the square root of the floating-point number .
边栏推荐
- TCP套接口通信实验
- Idea practical shortcut key novice must see
- day15_ generic paradigm
- DDoS details
- What if the 80443 port of the website server has been maliciously attacked?
- NoClassDefFoundError processing
- DDoS攻击与CC攻击的区别
- Use of for statement in Verilog
- Software testing career development: where should software testers go
- 八、 网络安全
猜你喜欢

Vivado IP核之浮点数加减法 Floating-point

虹科 | 使用JESD204串行接口高速桥接模拟和数字世界

虹科教您 | 想进入TSN领域?虹科教您如何搭建TSN测试系统

Software testing career development: where should software testers go

5、 Wireless communication network
![Self study understanding of [chain forward star]](/img/b4/7f66026a482540bf27f088c321a840.png)
Self study understanding of [chain forward star]

day17_集合下

虹科Automation softPLC | 虹科KPA MoDK运行环境与搭建步骤(2)——MoDK运行环境搭建

day12_多线程

day16-集合上
随机推荐
三、广域通信网
Software testing career development: where should software testers go
电脑鼠标右键单击总是转圈圈怎么回事
Solve the error that the simulation output is STX under the frequency division module Modelsim
基于udp通信的在线多人聊天室
What is DNS amplification attack
IGMP protocol software development experiment
How to judge whether a business is attacked by DDoS? What harm will it cause?
day10_异常处理&枚举
What if the 80443 port of the website server has been maliciously attacked?
浅谈缺陷描写样式
DDoS详解
Common server faults and their solutions
不安全的第三方组件的漏洞如何做前置规避?
RAW高级套接口实验
day16-集合上
Design of IIR filter based on FPGA
软件测试职业发展:软件测试人员该何去何从
Network Security Learning (II)
钓鱼邮件处置