当前位置:网站首页>IC验证中的force/release 学习整理(6)研究对 wire 类型信号的影响
IC验证中的force/release 学习整理(6)研究对 wire 类型信号的影响
2022-06-12 04:47:00 【那么菜】
前面章节,我们学习了怎么查看force信号,以及怎么在基于UVM平台下对信号进行force操作。今天,我们细致的研究下,force 信号对 RTL 代码中 wire 类型信号的影响。
先看例子:下面的例子中,clk,rst ,counter 三个信号,均声明为 reg 类型变量。在此基础之上,我们添加一个wire counter_q 类型信号。 我们着重关注一下 counter_q [7:0] 信号,该信号8bit,是一个计数器counter 所驱动。
顶层TB 代码:
`timescale 1ns/1ps
import uvm_pkg::*;
//`include "uvm_pkg.sv"
//`include "uvm_macros.svh"
module tb_top();
reg clk,rst;
reg [7:0] counter;
wire [7:0] counter_q;
initial begin
clk = 0;
forever #5 clk = ~ clk;
end
initial begin
rst = 1;
#30 rst = 0 ;
#25 rst = 1;
end
always @ (posedge clk or negedge rst) begin
if(!rst)
counter <= 8'b0;
else
counter <= counter +1;
end
assign counter_q = counter ;
initial begin
run_test("helloworld_test") ;
end
initial begin
$fsdbDumpfile("tb_top.fsdb");
$fsdbDumpvars(0,"tb_top")边栏推荐
- Differences between in and not in, exists and not exists in SQL and performance analysis
- Parallelization of accelerated training tf data. Dataset generator
- Walking "daily question" and "DP"
- eBPF系列学习(4)了解libbpf、CO-RE (Compile Once – Run Everywhe) | 使用go开发ebpf程序(云原生利器cilium ebpf )
- 疫情数据分析平台工作报告【2】接口API
- Epidemic data analysis platform work report [2] interface API
- Oracle's instr()
- Three. JS import model demo analysis (with notes)
- [backtracking method] queen n problem
- kali下安装pycharm并创建快捷访问
猜你喜欢

leetcode797. All possible paths (medium)

In the era of smart retail, Weimeng reshapes the value of "shopping guide"

D1 Nezha development board power on record

Memory protection

JWT learning and use

kali_ Nat mode, bridging Internet / host only_ detailed

2022 fusion welding and thermal cutting recurrent training question bank and simulation examination

疫情数据分析平台工作报告【7】阿里云相关

Big manufacturers compete to join rust, performance and safety are the key, and the 2021 rust developer survey report is announced

【高效】最强开发工具Ctool编译踩坑
随机推荐
C asynchronous programming (async and await) and asynchronous method synchronous invocation
Three. JS import model demo analysis (with notes)
Some points needing attention about thread pool
Memory protection
2022 low voltage electrician test questions and simulation test
Parallélisation de l'entraînement accéléré TF. Données. Générateur de données
How to use union all in LINQ- How to use union all in LINQ?
[backtracking based on bit operation] queen n problem 2
[SC] OpenService FAILED 5: Access is denied.
Install/Remove of the Service Denied!
Unable to resolve dependency tree
InnoDB data storage structure – MySQL
疫情数据分析平台工作报告【6】可视化绘图
千字巨著《编程后传》
Thousand word masterpiece "programming biography"
请用递归的方法计算下列函数的值:px(x,n)=x-x^2 +x^3- x^4+… ((-1)n-1)(xn) n>0 **输入格式要求:“%lf%d“ 提示信息:“Enter X and N:”
Oracle:decode function
Labor
Operation of simulated examination platform for theoretical question bank of G2 utility boiler stoker in 2022
Musk promotes the development of fascinating new products partners remind important questions