当前位置:网站首页>【牛客网刷题系列 之 Verilog快速入门】~ 优先编码器电路①
【牛客网刷题系列 之 Verilog快速入门】~ 优先编码器电路①
2022-07-01 16:45:00 【AI很不错呦】
目录:
0. 前言
之前的十道题是Verilog的基础语法,我觉得必须掌握,但是到了第二部分这个组合逻辑这些题突然有点小恶心,所以说这块的题我就选择性的做了,我会做什么样的题呢?
里边涉及到重要的知识点的题我还会拿出来分享的。
0.1 知识点
0.1.1 关于case、casex、casez的区别
首先看一下case、casex、casez对应的真值表
0.1.2 说明:
在case语句中,敏感表达式中与各项值之间的比较是一种全等比较,每一位都相同才认为匹配。
在casez语句中,如果分支表达式某些位的值为高阻z,那么对这些位的比较就会忽略,不予考虑,而只关注其他位的比较结果。
在casex语句中,则把这种处理方式进一步扩展到对x的处理,即如果比较双方有一方的某些位的值是z或x,那么这些位的比较就不予考虑。
0.1.3 注意事项
- 一般经常使用到的是casez语句,最好少用casex
- case/casez/casex其实都是可综合的
- 在电路中,可以用?来表示无关值的z
- case的描述,匹配都是从上到下进行的
1. VL13 优先编码器电路①
1.1 题目描述
下表是某优先编码器的真值表。
①请用Verilog实现此优先编码器
1.1.1 信号示意图
无
1.1.2 波形示意图
无
1.1.3 输入描述
input [8:0] I_n
1.1.4 输出描述
output reg [3:0] Y_n
1.2 解题思路
通过题目给出的真值表,依次写出对应的输入输出即可(注意高低位)。
1.3 代码实现
`timescale 1ns/1ns
module encoder_0(
input [8:0] I_n ,
output reg [3:0] Y_n
);
always @(*)begin
casez(I_n)
9'b111111111 : Y_n = 4'b1111;
9'b0zzzzzzzz : Y_n = 4'b0110;
9'b10zzzzzzz : Y_n = 4'b0111;
9'b110zzzzzz : Y_n = 4'b1000;
9'b1110zzzzz : Y_n = 4'b1001;
9'b11110zzzz : Y_n = 4'b1010;
9'b111110zzz : Y_n = 4'b1011;
9'b1111110zz : Y_n = 4'b1100;
9'b11111110z : Y_n = 4'b1101;
9'b111111110 : Y_n = 4'b1110;
default : Y_n = 4'b1111;
endcase
end
endmodule
1.4 测试文件
待更。。。
1.5 仿真波形
待更。。。
声明
本人所有系列的文章,仅供学习,不可商用,如有侵权,请告知,立删!!!
本人主要是记录学习过程,以供自己回头复习,再就是提供给后人参考,不喜勿喷!!!
如果觉得对你有用的话,记得收藏+评论!!!
边栏推荐
- 换掉UUID,NanoID更快更安全!
- Rhcsa Road
- Redis distributed lock
- How to restore the system with one click on Lenovo laptop
- The difference between the lazy mode of singleton mode and the evil mode
- 你还在用收费的文档管理工具?我这有更牛逼的选择!完全免费
- 中国锦纶长丝缝纫线发展预测与投资方向研究报告(2022版)
- Redis6.0 新功能
- National Security Agency (NSA) "sour Fox" vulnerability attack weapon platform technical analysis report
- 【Kotlin】高阶函数介绍
猜你喜欢
[mathematical modeling] [matlab] implementation of two-dimensional rectangular packing code
在MeterSphere接口测试中如何使用JMeter函数和MockJS函数
sql刷题1050. 合作过至少三次的演员和导演
Buuctf gold III
阿里云、追一科技抢滩对话式AI
SQL question brushing 627 Change gender
6月刊 | AntDB数据库参与编写《数据库发展研究报告》 亮相信创产业榜单
机器学习11-聚类,孤立点判别
可迭代对象与迭代器、生成器的区别与联系
Redis Distributed Lock
随机推荐
剑指 Offer II 105. 岛屿的最大面积
(12) About time-consuming printing
Determine whether the linked list is a palindrome linked list
剑指 Offer II 015. 字符串中的所有变位词
英特尔开源深度学习工具库 OpenVINO,将加大与本土软硬件方合作,持续开放
智能运维实战:银行业务流程及单笔交易追踪
模板引擎Velocity 基礎
Rhcsa Road
How wild are hackers' ways of making money? CTF reverse entry Guide
判断一棵二叉树是否为平衡二叉树
软件工程导论——第六章——详细设计
如何使用 etcd 实现分布式 /etc 目录
SystemVerilog structure (II)
中国冰淇淋市场深度评估及发展趋势预测报告(2022版)
unity3d扩展工具栏
换掉UUID,NanoID更快更安全!
SQL question brushing 1050 Actors and directors who have worked together at least three times
Cookies and session keeping technology
【flask入门系列】Cookie与Session
【Try to Hack】vulnhub DC4