当前位置:网站首页>Force/release learning arrangement in IC Verification (5) research on the influence of reg type signals
Force/release learning arrangement in IC Verification (5) research on the influence of reg type signals
2022-06-12 04:51:00 【Then the food】
The previous section , We learned how to view force The signal , And how based on UVM The signal is tested under the platform force operation . today , Let's study it carefully ,force The signal is right RTL In the code reg The influence of type signal .
Look at the example : In the following example ,clk,rst ,counter Three signals , Are declared as reg Type variable . Let's focus on counter[7:0] The signal , The signal 8bit, It's a counter .
`timescale 1ns/1ps
import uvm_pkg::*;
//`include "uvm_pkg.sv"
//`include "uvm_macros.svh"
module tb_top();
reg clk,rst;
reg [7:0] counter;
initial begin
clk = 0;
forever #5 clk = ~ clk;
end
initial begin
rst = 1;
#30 rst = 0 ;
#25 rst = 1;
end
always @ (posedge clk or negedge rst) begin
if(!rst)
counter <= 8'b0;
else
counter <= counter +1;
end
initial begin
run_test("helloworld_test") ;
end
initial begin
$fsdbDumpfile("tb_top.fsdb");
$fsdbDumpvars(0,"tb_top");
end
endmodule
UVM Code in the platform :
`ifndef HELLOWORLD_TEST
`define HELLOWORLD_TEST边栏推荐
- IC验证中的force/release 学习整理(6)研究对 wire 类型信号的影响
- National land use data of 30m precision secondary classification
- MySQL master-slave construction and Django implementation of read-write separation
- How to construct a search string?
- 2022 examination questions and online simulation examination for safety management personnel of hazardous chemical business units
- 2022-02-28 WPF upper computer 126 understand mqtt
- Musk promotes the development of fascinating new products partners remind important questions
- one billion one hundred and eleven million one hundred and eleven thousand one hundred and eleven
- Illustrating the use of Apache skywalking UI
- kali_ Change_ Domestic source
猜你喜欢

kali_ Nat mode, bridging Internet / host only_ detailed

Data processing and data set preparation

Spatial distribution data of national multi-year average precipitation 1951-2021, temperature distribution data, evapotranspiration data, evaporation data, solar radiation data, sunshine data and wind

windows如何安装多个版本mysql,如何同时启动

Longest palindrome string

National land use data of 30m precision secondary classification

Gao Xiang slam14 notes on three Lie groups and Lie algebra

How Windows installs multiple versions of MySQL and starts it at the same time

Why should a redis cluster use a reverse proxy? Just read this one

Operation of simulated examination platform for 2022 safety officer-b certificate examination questions
随机推荐
IC验证中的force/release 学习整理(5)研究对 reg类型信号的影响
[GIS tutorial] ArcGIS for sunshine analysis (with exercise data download)
Simple Tetris
IC验证中的force/release 学习整理(6)研究对 wire 类型信号的影响
Redis learning notes (continuously updating)
[backtracking based on bit operation] queen n problem 2
ShanMeng and Beijing Adoption Day start NFT digital collection public offering
Ecosystem type distribution data, land use data, vegetation type distribution and nature reserve distribution data
Using datetime in MySQL
Shandong University network security range experimental platform -- team and project introduction
JS function and variable have the same name (function and variable parsing rules)
1007- stair climbing
1006 next spread
kali_ Nat mode, bridging Internet / host only_ detailed
L1-064 AI core code valued at 100 million (20 points)
windows如何安装多个版本mysql,如何同时启动
22-2-28 there are many things to do at work today, ETH analysis
How to construct a search string?
Oracle's instr()
Radiometric calibration and atmospheric correction of sentry 2 L1C multispectral data using sen2cor