当前位置:网站首页>VHDL implementation of single cycle CPU design
VHDL implementation of single cycle CPU design
2022-07-07 03:26:00 【QQ_ seven hundred and seventy-eight million one hundred and thi】
VHDL Realize single cycle CPU Design
stay quartus achieve , The top level is schematic mode , Language use VHDL, Realize single cycle CPU The function of , Include ALU,RAM,ROM,MUX,regfile Equal module , Below are engineering screenshots and simulation screenshots .
Simulation screenshot :
ALU Module code :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- How to replace the backbone of the model
- Jericho turns on the display icon of the classic Bluetooth hid mobile phone to set the keyboard [chapter]
- Appx code signing Guide
- Lost in the lock world of MySQL
- Don't you know the relationship between JSP and servlet?
- Shell programming basics
- Significance and measures of source code confidentiality
- Flink task exit process and failover mechanism
- 注意力机制原理
- 美国空军研究实验室《探索深度学习系统的脆弱性和稳健性》2022年最新85页技术报告
猜你喜欢
Restcloud ETL Community Edition June featured Q & A
Experience design details
从0开始创建小程序
Depth analysis of compilation constants, classloader classes, and system class loaders
Flutter3.0, the applet is not only run across mobile applications
亚像素级角点检测Opencv-cornerSubPix
Enumeration general interface & enumeration usage specification
枚举通用接口&枚举使用规范
函数重入、函数重载、函数重写自己理解
Do you know the five most prominent advantages of E-bidding?
随机推荐
Shangsilicon Valley JVM Chapter 1 class loading subsystem
pip只下载不安装
qt-线程等01概念
Flutter3.0了,小程序不止于移动应用跨端运行
Not All Points Are Equal Learning Highly Efficient Point-based Detectors for 3D LiDAR Point
Development of wireless communication technology, cv5200 long-distance WiFi module, UAV WiFi image transmission application
HDU 4337 King Arthur&#39; S Knights it outputs a Hamiltonian circuit
Lost in the lock world of MySQL
Jericho turns on the display icon of the classic Bluetooth hid mobile phone to set the keyboard [chapter]
Install torch 0.4.1
R数据分析:cox模型如何做预测,高分文章复现
Jerry's FM mode mono or stereo selection setting [chapter]
密码学系列之:在线证书状态协议OCSP详解
20.(arcgis api for js篇)arcgis api for js面采集(SketchViewModel)
腾讯云原生数据库TDSQL-C入选信通院《云原生产品目录》
Do you know the five most prominent advantages of E-bidding?
【Swift】学习笔记(一)——熟知 基础数据类型,编码风格,元组,主张
U.S. Air Force Research Laboratory, "exploring the vulnerability and robustness of deep learning systems", the latest 85 page technical report in 2022
图形化工具打包YOLOv5,生成可执行文件EXE
应用程序启动速度的优化