当前位置:网站首页>VHDL implementation of single cycle CPU design
VHDL implementation of single cycle CPU design
2022-07-07 03:26:00 【QQ_ seven hundred and seventy-eight million one hundred and thi】
VHDL Realize single cycle CPU Design
stay quartus achieve , The top level is schematic mode , Language use VHDL, Realize single cycle CPU The function of , Include ALU,RAM,ROM,MUX,regfile Equal module , Below are engineering screenshots and simulation screenshots .
Simulation screenshot :
ALU Module code :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- Lost in the lock world of MySQL
- 美国空军研究实验室《探索深度学习系统的脆弱性和稳健性》2022年最新85页技术报告
- [swift] learning notes (I) -- familiar with basic data types, coding styles, tuples, propositions
- [dream database] add the task of automatically collecting statistical information
- Netperf and network performance measurement
- “去虚向实”大潮下,百度智能云向实而生
- input_delay
- About Estimation Statistics
- 小程序能运行在自有App中,且实现直播和连麦?
- Sub pixel corner detection opencv cornersubpix
猜你喜欢
Jericho is in non Bluetooth mode. Do not jump back to Bluetooth mode when connecting the mobile phone [chapter]
【基于 RT-Thread Studio的CPK-RA6M4 开发板环境搭建】
When you go to the toilet, you can clearly explain the three Scheduling Strategies of scheduled tasks
U.S. Air Force Research Laboratory, "exploring the vulnerability and robustness of deep learning systems", the latest 85 page technical report in 2022
存储过程与函数(MySQL)
CVPR 2022 best paper candidate | pip: six inertial sensors realize whole body dynamic capture and force estimation
VHDL实现任意大小矩阵加法运算
体会设计细节
Ubuntu20 installation redisjson record
线性表的查找
随机推荐
Jerry's transmitter crashed after the receiver shut down [chapter]
Set static IP for raspberry pie
Don't you know the relationship between JSP and servlet?
美国空军研究实验室《探索深度学习系统的脆弱性和稳健性》2022年最新85页技术报告
房费制——登录优化
19.(arcgis api for js篇)arcgis api for js线采集(SketchViewModel)
Cryptography series: detailed explanation of online certificate status protocol OCSP
[C language] question set of IX
【colmap】已知相机位姿情况下进行三维重建
Appx代码签名指南
RestClould ETL 社区版六月精选问答
23.(arcgis api for js篇)arcgis api for js椭圆采集(SketchViewModel)
R数据分析:cox模型如何做预测,高分文章复现
Set WiFi automatic connection for raspberry pie
Room rate system - login optimization
[colmap] 3D reconstruction with known camera pose
opencv环境的搭建,并打开一个本地PC摄像头。
Ubuntu 20 installation des enregistrements redisjson
如何自定义Latex停止运行的快捷键
树莓派设置wifi自动连接