当前位置:网站首页>VHDL implementation of single cycle CPU design
VHDL implementation of single cycle CPU design
2022-07-07 03:26:00 【QQ_ seven hundred and seventy-eight million one hundred and thi】
VHDL Realize single cycle CPU Design
stay quartus achieve , The top level is schematic mode , Language use VHDL, Realize single cycle CPU The function of , Include ALU,RAM,ROM,MUX,regfile Equal module , Below are engineering screenshots and simulation screenshots .
Simulation screenshot :

ALU Module code :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- 迷失在MySQL的锁世界
- HMS core machine learning service creates a new "sound" state of simultaneous interpreting translation, and AI makes international exchanges smoother
- 【DPDK】dpdk样例源码解析之三:dpdk-l3fwd_001
- 【达梦数据库】添加自动收集统计信息的任务
- Cocos2d-x box2d physical engine compilation settings
- 树莓派设置wifi自动连接
- 22.(arcgis api for js篇)arcgis api for js圆采集(SketchViewModel)
- The latest 2022 review of "small sample deep learning image recognition"
- Jerry's FM mode mono or stereo selection setting [chapter]
- 从0开始创建小程序
猜你喜欢

CVPR 2022 最佳论文候选 | PIP: 6个惯性传感器实现全身动捕和受力估计

编译常量、ClassLoader类、系统类加载器深度探析

Create applet from 0

如何替换模型的骨干网络(backbone)

Sub pixel corner detection opencv cornersubpix
![Jericho is in non Bluetooth mode. Do not jump back to Bluetooth mode when connecting the mobile phone [chapter]](/img/ce/baa4acb1b4bfc19ccf8982e1e320b2.png)
Jericho is in non Bluetooth mode. Do not jump back to Bluetooth mode when connecting the mobile phone [chapter]

Hazel engine learning (V)

About Tolerance Intervals

20.(arcgis api for js篇)arcgis api for js面采集(SketchViewModel)

树莓派设置静态ip
随机推荐
Matlab Error (Matrix dimensions must agree)
Function reentry, function overloading and function rewriting are understood by yourself
sshd[12282]: fatal: matching cipher is not supported: aes256- [email protected] [preauth]
2022.6.28
Jerry's question about DAC output power [chapter]
Tencent cloud native database tdsql-c was selected into the cloud native product catalog of the Academy of communications and communications
Jericho is in non Bluetooth mode. Do not jump back to Bluetooth mode when connecting the mobile phone [chapter]
R数据分析:cox模型如何做预测,高分文章复现
CVPR 2022 最佳论文候选 | PIP: 6个惯性传感器实现全身动捕和受力估计
树莓派设置wifi自动连接
HDU 4337 King Arthur&#39; S Knights it outputs a Hamiltonian circuit
从0开始创建小程序
Sorting operation partition, argpartition, sort, argsort in numpy
Development of wireless communication technology, cv5200 long-distance WiFi module, UAV WiFi image transmission application
Code quality management
Jerry's broadcast has built-in flash prompt tone to control playback pause [chapter]
存储过程与函数(MySQL)
About Tolerance Intervals
【DPDK】dpdk样例源码解析之三:dpdk-l3fwd_001
Optimization of application startup speed