当前位置:网站首页>VHDL implementation of single cycle CPU design
VHDL implementation of single cycle CPU design
2022-07-07 03:26:00 【QQ_ seven hundred and seventy-eight million one hundred and thi】
VHDL Realize single cycle CPU Design
stay quartus achieve , The top level is schematic mode , Language use VHDL, Realize single cycle CPU The function of , Include ALU,RAM,ROM,MUX,regfile Equal module , Below are engineering screenshots and simulation screenshots .
Simulation screenshot :
ALU Module code :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- 数学归纳与递归
- sshd[12282]: fatal: matching cipher is not supported: aes256- [email protected] [preauth]
- Flink Task退出流程与Failover机制
- An error in SQL tuning advisor ora-00600: internal error code, arguments: [kesqsmakebindvalue:obj]
- input_ delay
- Make (convert) ICO Icon
- The version control of 2021 version is missing. Handling method
- 制作(转换)ico图标
- 【Swift】学习笔记(一)——熟知 基础数据类型,编码风格,元组,主张
- PIP download only, not install
猜你喜欢
Flutter3.0, the applet is not only run across mobile applications
Jerry's broadcast has built-in flash prompt tone to control playback pause [chapter]
Don't you know the relationship between JSP and servlet?
When you go to the toilet, you can clearly explain the three Scheduling Strategies of scheduled tasks
线性表的查找
装饰设计企业网站管理系统源码(含手机版源码)
Laravel php artisan 自动生成Model+Migrate+Controller 命令大全
Shangsilicon Valley JVM Chapter 1 class loading subsystem
HMS core machine learning service creates a new "sound" state of simultaneous interpreting translation, and AI makes international exchanges smoother
Graphical tools package yolov5 and generate executable files exe
随机推荐
Restcloud ETL Community Edition June featured Q & A
Under the tide of "going from virtual to real", Baidu AI Cloud is born from real
Numpy中排序操作partition,argpartition,sort,argsort
PIP download only, not install
Install torch 0.4.1
24. (ArcGIS API for JS) ArcGIS API for JS point modification point editing (sketchviewmodel)
我的勇敢对线之路--详细阐述,浏览器输入URL发生了什么
VHDL实现单周期CPU设计
树莓派设置静态ip
About Estimation Statistics
美国空军研究实验室《探索深度学习系统的脆弱性和稳健性》2022年最新85页技术报告
Cocos2d-x Box2D物理引擎编译设置
Flutter3.0了,小程序不止于移动应用跨端运行
Enumeration general interface & enumeration usage specification
SSL证书部署
Open3D 网格滤波
Lab1 configuration script
Shell programming basics
HDU ACM 4578 Transformation-&gt;段树-间隔的变化
Flink Task退出流程与Failover机制