当前位置:网站首页>VHDL implementation of single cycle CPU design
VHDL implementation of single cycle CPU design
2022-07-07 03:26:00 【QQ_ seven hundred and seventy-eight million one hundred and thi】
VHDL Realize single cycle CPU Design
stay quartus achieve , The top level is schematic mode , Language use VHDL, Realize single cycle CPU The function of , Include ALU,RAM,ROM,MUX,regfile Equal module , Below are engineering screenshots and simulation screenshots .
Simulation screenshot :

ALU Module code :
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- 腾讯云原生数据库TDSQL-C入选信通院《云原生产品目录》
- 【达梦数据库】添加自动收集统计信息的任务
- Huawei and Xiaomi "copy each other"
- SQL中删除数据
- 数学归纳与递归
- 【DPDK】dpdk样例源码解析之三:dpdk-l3fwd_001
- Matlab Error (Matrix dimensions must agree)
- SQL Tuning Advisor一个错误ORA-00600: internal error code, arguments: [kesqsMakeBindValue:obj]
- 编译常量、ClassLoader类、系统类加载器深度探析
- 房费制——登录优化
猜你喜欢

HMS core machine learning service creates a new "sound" state of simultaneous interpreting translation, and AI makes international exchanges smoother

Restcloud ETL Community Edition June featured Q & A

函数重入、函数重载、函数重写自己理解

How to replace the backbone of the model

亚像素级角点检测Opencv-cornerSubPix

Function reentry, function overloading and function rewriting are understood by yourself

Set static IP for raspberry pie
![Jerry's broadcast has built-in flash prompt tone to control playback pause [chapter]](/img/8c/e8f7e667e4762a4815e97c36a2759f.png)
Jerry's broadcast has built-in flash prompt tone to control playback pause [chapter]

Code quality management

装饰设计企业网站管理系统源码(含手机版源码)
随机推荐
SQL Tuning Advisor一个错误ORA-00600: internal error code, arguments: [kesqsMakeBindValue:obj]
腾讯云原生数据库TDSQL-C入选信通院《云原生产品目录》
小程序能运行在自有App中,且实现直播和连麦?
DOMContentLoaded和window.onload
24.(arcgis api for js篇)arcgis api for js点修改点编辑(SketchViewModel)
19.(arcgis api for js篇)arcgis api for js线采集(SketchViewModel)
Enumeration general interface & enumeration usage specification
Intelligent static presence detection scheme, 5.8G radar sensing technology, human presence inductive radar application
About Estimation Statistics
[C language] question set of IX
Make (convert) ICO Icon
Opencv environment, and open a local PC camera.
Centerx: open centernet in the way of socialism with Chinese characteristics
sshd[12282]: fatal: matching cipher is not supported: aes256- [email protected] [preauth]
[swift] learning notes (I) -- familiar with basic data types, coding styles, tuples, propositions
About Confidence Intervals
The version control of 2021 version is missing. Handling method
Set static IP for raspberry pie
Domcontentloaded and window onload
1200.Minimum Absolute Difference