当前位置:网站首页>VHDL实现单周期CPU设计
VHDL实现单周期CPU设计
2022-07-06 20:15:00 【QQ_778132974】
VHDL实现单周期CPU设计
在quartus下实现,顶层是原理图模式,语言使用VHDL,实现单周期CPU的功能,包括ALU,RAM,ROM,MUX,regfile等模块,下面有工程截图及仿真截图。
仿真截图:
ALU模块代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- [Dameng database] after backup and recovery, two SQL statements should be executed
- HMS core machine learning service creates a new "sound" state of simultaneous interpreting translation, and AI makes international exchanges smoother
- 从0开始创建小程序
- 杰理之RTC 时钟开发【篇】
- IDEA重启后无法创建Servlet文件的解决方案
- Optimization of application startup speed
- Make (convert) ICO Icon
- Lingyun going to sea | yidiantianxia & Huawei cloud: promoting the globalization of Chinese e-commerce enterprise brands
- SSL证书错误怎么办?浏览器常见SSL证书报错解决办法
- 如何替换模型的骨干网络(backbone)
猜你喜欢
Significance and measures of source code confidentiality
Flink Task退出流程与Failover机制
tensorboard的使用
知识图谱构建全流程
Centerx: open centernet in the way of socialism with Chinese characteristics
Nuggets quantification: obtain data through the history method, and use the same proportional compound weight factor as Sina Finance and snowball. Different from flush
尚硅谷JVM-第一章 类加载子系统
Intelligent static presence detection scheme, 5.8G radar sensing technology, human presence inductive radar application
Not All Points Are Equal Learning Highly Efficient Point-based Detectors for 3D LiDAR Point
Mathematical induction and recursion
随机推荐
如何分析粉丝兴趣?
[swift] learning notes (I) -- familiar with basic data types, coding styles, tuples, propositions
首届“量子计算+金融科技应用”研讨会在京成功举办
Development of wireless communication technology, cv5200 long-distance WiFi module, UAV WiFi image transmission application
SSL证书错误怎么办?浏览器常见SSL证书报错解决办法
杰理之在非蓝牙模式下,手机连接蓝牙不要跳回蓝牙模式处理方法【篇】
Lavel PHP artisan automatically generates a complete set of model+migrate+controller commands
腾讯云原生数据库TDSQL-C入选信通院《云原生产品目录》
HDU 4337 King Arthur&#39;s Knights 它输出一个哈密顿电路
杰理之开 BLE 退出蓝牙模式卡机问题【篇】
An error in SQL tuning advisor ora-00600: internal error code, arguments: [kesqsmakebindvalue:obj]
DOMContentLoaded和window.onload
杰理之发射端在接收端关机之后假死机【篇】
2022年信息安全工程师考试大纲
cocos3——8. Implementation Guide for beginners
Unity uses maskablegraphic to draw a line with an arrow
C language string sorting
HDU 4337 King Arthur&#39; S Knights it outputs a Hamiltonian circuit
Matlab Error (Matrix dimensions must agree)
Error: could not find a version that satisfies the requirement xxxxx (from versions: none) solutions