当前位置:网站首页>VHDL实现单周期CPU设计
VHDL实现单周期CPU设计
2022-07-06 20:15:00 【QQ_778132974】
VHDL实现单周期CPU设计
在quartus下实现,顶层是原理图模式,语言使用VHDL,实现单周期CPU的功能,包括ALU,RAM,ROM,MUX,regfile等模块,下面有工程截图及仿真截图。
仿真截图:
ALU模块代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- Uniapp adaptation problem
- 腾讯云原生数据库TDSQL-C入选信通院《云原生产品目录》
- 首届“量子计算+金融科技应用”研讨会在京成功举办
- Jerry's phonebook acquisition [chapter]
- Le tube MOS réalise le circuit de commutation automatique de l'alimentation principale et de l'alimentation auxiliaire, et la chute de tension "zéro", courant statique 20ua
- [cpk-ra6m4 development board environment construction based on RT thread studio]
- Change your posture to do operation and maintenance! GOPs 2022 Shenzhen station highlights first!
- 变量、流程控制与游标(MySQL)
- How does C language (string) delete a specified character in a string?
- Netperf and network performance measurement
猜你喜欢
【安全的办公和生产力应用程序】上海道宁为您提供ONLYOFFICE下载、试用、教程
“零售为王”下的家电产业:什么是行业共识?
When you go to the toilet, you can clearly explain the three Scheduling Strategies of scheduled tasks
Form validation of uniapp
Significance and measures of source code confidentiality
Leetcode-02 (linked list question)
Appx代码签名指南
Development of wireless communication technology, cv5200 long-distance WiFi module, UAV WiFi image transmission application
How to analyze fans' interests?
密码学系列之:在线证书状态协议OCSP详解
随机推荐
[Dameng database] after backup and recovery, two SQL statements should be executed
Variables, process control and cursors (MySQL)
你知道电子招标最突出的5大好处有哪些吗?
HDU 4337 King Arthur&#39; S Knights it outputs a Hamiltonian circuit
Shell programming basics
2022 information security engineer examination outline
input_delay
Household appliance industry under the "retail is king": what is the industry consensus?
硬件之OC、OD、推挽解释
HMS core machine learning service creates a new "sound" state of simultaneous interpreting translation, and AI makes international exchanges smoother
tensorboard的使用
LAB1配置脚本
How to analyze fans' interests?
Analysis of USB network card sending and receiving data
Shell 编程基础
Jericho turns on the display icon of the classic Bluetooth hid mobile phone to set the keyboard [chapter]
Optimization of application startup speed
Room rate system - login optimization
Jerry's phonebook acquisition [chapter]
首届“量子计算+金融科技应用”研讨会在京成功举办