当前位置:网站首页>VHDL实现单周期CPU设计
VHDL实现单周期CPU设计
2022-07-06 20:15:00 【QQ_778132974】
VHDL实现单周期CPU设计
在quartus下实现,顶层是原理图模式,语言使用VHDL,实现单周期CPU的功能,包括ALU,RAM,ROM,MUX,regfile等模块,下面有工程截图及仿真截图。
仿真截图:

ALU模块代码:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ALU_lrt is
port(a,b:in std_logic_vector(15 downto 0);
func:in std_logic_vector(3 downto 0);
c_lrt:out std_logic_vector(15 downto 0));
end ALU_lrt;
architecture behave of ALU_lrt is
begin
process (a,b,func)
begin
case func is
when “0000”=>c_lrt<=a and b;–and
when “0001”=>c_lrt<=a or b;–or
when “0010”=>c_lrt<=a xor b;–xor
边栏推荐
- Jerry's RTC clock development [chapter]
- [colmap] 3D reconstruction with known camera pose
- 上个厕所的功夫,就把定时任务的三种调度策略说得明明白白
- Use of promise in ES6
- Experience design details
- Household appliance industry under the "retail is king": what is the industry consensus?
- opencv环境的搭建,并打开一个本地PC摄像头。
- An error in SQL tuning advisor ora-00600: internal error code, arguments: [kesqsmakebindvalue:obj]
- Unity uses maskablegraphic to draw a line with an arrow
- 房费制——登录优化
猜你喜欢

杰理之播内置 flash 提示音控制播放暂停【篇】

Error: could not find a version that satisfies the requirement xxxxx (from versions: none) solutions

Use of tensorboard

硬件之OC、OD、推挽解释

如何分析粉丝兴趣?

注意力机制原理

Change your posture to do operation and maintenance! GOPs 2022 Shenzhen station highlights first!

“零售为王”下的家电产业:什么是行业共识?

树莓派设置静态ip

Lavel PHP artisan automatically generates a complete set of model+migrate+controller commands
随机推荐
杰理之RTC 时钟开发【篇】
美国空军研究实验室《探索深度学习系统的脆弱性和稳健性》2022年最新85页技术报告
Room rate system - login optimization
Utilisation de la promesse dans es6
Data analysis from the perspective of control theory
HMS Core 机器学习服务打造同传翻译新“声”态,AI让国际交流更顺畅
Hazel engine learning (V)
杰理之发射端在接收端关机之后假死机【篇】
Lingyun going to sea | yidiantianxia & Huawei cloud: promoting the globalization of Chinese e-commerce enterprise brands
An error in SQL tuning advisor ora-00600: internal error code, arguments: [kesqsmakebindvalue:obj]
Laravel php artisan 自动生成Model+Migrate+Controller 命令大全
Variables, process control and cursors (MySQL)
Leetcode-02 (linked list question)
Appx代码签名指南
HMS core machine learning service creates a new "sound" state of simultaneous interpreting translation, and AI makes international exchanges smoother
QT Bluetooth: qbluetooth DeviceInfo
IDEA重启后无法创建Servlet文件的解决方案
2022.6.28
mos管实现主副电源自动切换电路,并且“零”压降,静态电流20uA
Jerry's ble exiting Bluetooth mode card machine [chapter]