当前位置:网站首页>[professional literacy] core conferences and periodicals in the field of integrated circuits
[professional literacy] core conferences and periodicals in the field of integrated circuits
2022-07-05 07:46:00 【Senator】
The following is a summary of the core conferences and periodicals in the field of integrated circuits that I am looking for in my daily study in order to popularize science and literacy , Scientific research Xiaobai urges friends who read this blog to add a meeting in the comment area / Periodical level , More information such as several districts . Welcome to add , Welcome to revise .
I also hope that experienced friends can point out how to start from IEEE Search for several areas on .
1. meeting
IEEE International Solid-State Circuits Conference, abbreviation :ISSCC, International Conference on solid state circuits
( Summit meeting , Only 200 About )
IEEE International Electron Devices Meeting, abbreviation :IEDM, International Electronics device meeting
IEEE Symposia on VLSI Technology and Circuits, abbreviation :VLSI, VLSI Workshop
European Solid-State Circuit Conference, abbreviation :ESSCIRC, European Conference on solid state circuits
IEEE Asian Solid-State Circuits Conference, abbreviation :A-SSCC, Asian Solid State Circuit Conference
Hot Chips: A Symposium on High Performance Chips, abbreviation :Hot Chips, High performance chip Symposium
IEEE International Symposium on Circuits and Systems, abbreviation :ISCAS, Circuit system Symposium
IEEE/ACM International Symposium on Microarchitecture, abbreviation :MICRO, Microarchitecture
International Symposium on Computer Architecture, abbreviation :ISCA, Computer architecture
International Symposium on High-Performance Computer Architecture, abbreviation :HPCA, High performance computer architecture
International Conference on Architectural Support for Programming Languages and OperatingSystems, abbreviation :ASPLOS, International Conference on programming language and operating system architecture support
Design Automation Conference, abbreviation :DAC, Design Automation Conference
IEEE International Symposium on Power Semiconductor Devices and ICs, abbreviation :ISPSD, Power devices 、 Circuit workshop
IEEE Radio Frequency Integrated Circuits Symposium, abbreviation :RFIC, RF IC Symposium
IEEE Custom Integrated Circuits Conference, abbreviation :CICC, Integrated circuit Conference
IEEE International Conference on Computer-aided Design , abbreviation :ICCAD, International Conference on computer aided design
ACM/IEEE International Symposium on Low Power Electronics and Design, abbreviation :ISLPED, Low power electronic design Symposium
Design, Automation and Test in Europe Conference and Exhibition, abbreviation :DATE, European design 、 automation 、 Test meeting
2. Periodical
IEEE Journal of Solid-State Circuits , abbreviation :JSSC ( Top issue )
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, abbreviation :TCAD
IEEE Transactions on Circuits and Systems I, abbreviation :TCAS-I ( Area 1 )
IEEE Transactions on Circuits and Systems II, abbreviation :TCAS-II ( Area 1 )
IEEE Transactions on Very Large Scale Integration , abbreviation :TVLSI
ELECTRONICS LETTERS, abbreviation :EL
3. Summary :
Circuit design , meeting : ISSCC > VLSIC > ESSCIRC/CICC/RFIC > ASSCC > ISCAS > Various local CAS (APCCAS, MWSCAS etc. )
Periodical :JSSC > SSC-L ~ TCAS-I ~ TPE ~ TBIOCAS > TCAS-II >TVLSI > EL …
边栏推荐
- Package ‘*****‘ has no installation candidate
- Function of static
- Esmini longspeedaction modification
- Readme, self study record
- Idea common settings
- How to modify the file path of Jupiter notebook under miniconda
- Temperature sensor DS18B20 principle, with STM32 routine code
- Day07 type of mathematical operator automatic conversion relational operator bitwise operator blind date math
- With the help of Navicat for MySQL software, the data of a database table in different or the same database link is copied to another database table
- String alignment method, self use, synthesis, newrlcjust
猜你喜欢

数字孪生实际应用案例-风机篇

行测--资料分析--fb--高照老师

借助 Navicat for MySQL 软件 把 不同或者相同数据库链接中的某数据库表数据 复制到 另一个数据库表中

Altium Designer 19.1.18 - 隐藏某一个网络的飞线

Altium designer 19.1.18 - hide the fly line of a network

MLPerf Training v2.0 榜单发布,在同等GPU配置下百度飞桨性能世界第一

Differences between pycharm and idle and process -- join() in vs Code

C language uses arrays to realize the intersection, union, difference and complement of sets

Play with grpc - go deep into concepts and principles

Embedded AI intelligent technology liquid particle counter
随机推荐
Openxlsx field reading problem
Global and Chinese markets for anesthesia, breathing and sleep apnea devices 2022-2028: Research Report on technology, participants, trends, market size and share
I implement queue with C I
NSIS finds out whether the file exists and sets the installation path
Apple system optimization
Function and usage of function pointer
High end electronic chips help upgrade traditional oil particle monitoring
Detour of Tkinter picture scaling
CADD course learning (5) -- Construction of chemosynthesis structure with known target (ChemDraw)
Detailed explanation of C language pointer
editplus
数字孪生实际应用案例-风机篇
Acwing - the collection of pet elves - (multidimensional 01 Backpack + positive and reverse order + two forms of DP for the answer)
Detailed explanation of miracast Technology (I): Wi Fi display
[neo4j] common operations of neo4j cypher and py2neo
Day06 class variables instance variables local variables constant variables naming conventions
.NET服务治理之限流中间件-FireflySoft.RateLimit
Use stm32cubemx tool to write the demo program of FreeRTOS
Apple input method optimization
Altium Designer 19.1.18 - 隐藏某一个网络的飞线